首页 > 新闻资讯 > 新闻详情

74LS90引脚功能_真值表_示例电路图

IC先生 IC先生 6826 2023-08-29 14:39:38

74LS90是一个不带自动复位功能的二进制十进制计数器,可以在设备中使用多种计数器进行二进制或十进制计数。如果串联连接触发器、触发器,我们可以生成 2­­n个二进制计数器。

74LS93以二进制数从0000到1111,以十进制数为0到15。在十进制中,只需要0到9,它涵盖了十进制的所有可能值,而不给出任何额外的值。

基本概念

74LS90包含两个MOD计数器。第一个是MOD 2,第二个是MOD 5计数器。计数器开始从0000计数到1001,然后再次重置该值。自动复位使计数器从十进制的0开始,到十进制的9结束。

在74LS90芯片中,共有四个复位引脚,可以通过激活特定的两个引脚来启用计数器。该IC基于TTL,可与其它基于TTL的计数器和IC配合使用。

74LS90

功能特性

  • 用作0 – 9的简单计数器。
  • 能够自动从0开始到9结束。
  • 由于其TTL 输出,IC可用于任何基于TTL的设备和微控制器。
  • 功耗低。
  • 有多种封装:PDSO、PDIP 和 GDIP
  • 具有针对钳位电压的内部保护。

规格参数

  • 功率输入范围为4.75至5.25。
  • 工作温度范围为0至70。
  • 在高电平状态下的输入电压范围最小值为2.0,低电平状态下的输入电压范围最大值为0.7。
  • 在高电平状态下吸取输出电流为-0.4mA,在低电平状态下吸取输出电流为8.0mA。
  • 内部钳位二极管保护范围为-1.5V。

其它相关计数器型号包括 :CD4020、CD4022、CD4060、CD40102、CD4017、CD4026、74LS93。

引脚配置

下图显示了74LS90十进制计数器的引脚图,具体如下所示:

74LS90

名称 引脚号 功能名称
CLKB PIN1 MOD 5的时钟脉冲输入,它是一个低电平有效引脚,用于更改输出上3位状态。在每个高到高到低的脉冲输出上,3位都会受到影响。
R1 PIN2 用作IC中的复位引脚。它将显示输出的最大值,将与Pin 3一起使用。
R2 PIN3 引脚3还用作IC中的复位引脚。它将显示输出的最大值,将与引脚2一起使用。
NC PIN4 没有用处,仅用于平衡IC与PCB。无论连接哪一个,都不会对电路产生影响。
VCC PIN5 电源输入引脚,用于为IC供电。
R3 PIN6 用作IC中的复位引脚。它将通过R4清除所有输出。
R4 PIN7 也用作复位引脚。它将通过R3清除所有输出。
QC PIN8 输出引脚。它用于给出4位输出数据的第二位。
QB PIN9 也是输出引脚。它用于给出4位输出数据的第二个LSB(最低有效位)。
GND PIN 10 接地引脚。它用作电路的公共接地。
QD PIN 11 用作输出引脚,为IC提供4位输出数据的MSB(最高有效位)。
QA PIN 12 也是一个输出引脚,用于提供4位输出数据的LSB。
NC PIN 13 为无连接引脚。它像引脚4那样不会对IC产生影响。
CLKA PIN 14 引脚14是时钟输入引脚,用于向IC的MOD 2提供时钟脉冲。

逻辑符号图

逻辑符号图

工作原理

74LS90内部有4个触发器结构,第一个触发器用作MOD 2,另外三个触发器用作MOD 5。有两个时钟引脚,它们将用于改变输出状态。复位引脚通过与门控制,其原理图如下所示:

功能框图

该IC带有4个复位引脚、两个时钟和4个输出引脚。当我们要使用IC时,首先需要了解复位引脚。这四个复位引脚将用于控制输出。这四个复位引脚会出现多种16种组合,但在某些组合中,会有固定输出。

真值表

74LS90芯片的真值表如下图所示:

输入 输入 输入 输入 输出 输出 输出 输出
MR1(R4) MR2(R3) MS1(R2) MS2(R1) QD QC QB QA
H H L X L L L L
H H X L L L L L
X X H H H L L H
L X L X Count Count Count Count
X L X L Count Count Count Count
L X X L Count Count Count Count
X L L X Count Count Count Count

第二个时钟引脚(引脚 1)将与IC的LSB连接,以保持MOD 2和MOD 5是一个序列。第一个时钟引脚(引脚14)将用于向IC提供时钟输入信号。在从高电平到低电平的每个状态下,输出都会受到影响。但请始终记住有关复位引脚的概念,否则IC将给出一些随机值或不会有输出。这是完整的功能电路。

每当我们给出脉冲时,IC都会以二进制形式给出输出。每个二进制形式都代表一个十进制数,以下每个二进制数的真值表:

十进制 QD QC QB QA
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1

典型计数器示例

74LS90可用作简单计数器,但其值始终为二进制。这里将使用IC作为0-9计数器,然后将其显示在7段显示器上。该IC需要使用IC名称74LS47才能与7段一起工作。IC将生成二进制数据,74LS47将在IC上将数据转换为十进制形式。以下是完整电路图:

计数器电路

74LS47仅适用于共阳极7段,这就是我们使用74LS47共阳极的原因。当然,你也可以使用74LS48。在这里,我们将所有复位引脚接地,因为我们只想计数,无意让IC执行任何其它功能。

单个IC只能计数到9,但我们可以使用多个IC来进一步计数。在多位小数计数的情况下,可以使用其它IC及其方法。74LS90没有任何特殊引脚来串联小数点或进行7段操作。我们总是需要与其它逻辑门一起提出自制的解决方案,以使用74LS90来使用IC来计数9以上。

交流波形

交流波形

FAST和LS-TTL数据

FAST和LS-TTL数据

主要应用

  • 可用于进行0 – 9的简单二进制计数。
  • 应用在7段数字设备中。
  • 74LS90还用于服务器、网络和数字系统。

总结

74LS90是一款集成数字逻辑芯片,属于74系列逻辑芯片家族,它在逻辑门、触发器和计数器等组件的基础上构建了一个可实现数字计数功能的集成电路。它通常用于计数器和频率分频等数字电路应用中。

74LS90是一个4位可递增/递减计数器,可以实现二进制计数。它有四个计数输入端口(A、B、C、D)和四个计数输出端口(Qa、Qb、Qc、Qd)。芯片可以根据输入的时钟脉冲和计数模式(递增或递减)在计数输出端口上产生相应的二进制计数序列。

74LS90具有异步清零输入端口(MR),当清零信号为低电平时,计数器会被清零。芯片需要外部提供时钟脉冲信号(CLK),它会根据时钟脉冲来进行计数。另外,多个74LS90芯片可以级联以扩展位数,构建更长的计数序列。

74LS90芯片通常工作在TTL逻辑家族的标准供电电压范围,它可以在数字计数器、频率分频器、计时器等应用中找到用途,在数字电路设计中的应用非常广泛。

推荐商品
TPA3100D2PHPR
库存:1000
¥ 15.78
UMK316BBJ106KL-T
库存:8000
¥ 0.20656
BSS138LT1G
库存:0
¥ 0.036
RC0402FR-07499RL
库存:10000
¥ 0.00189
RC0603JR-07120RL
库存:0
¥ 0.0046
版权声明: 部分文章信息来源于网络以及网友投稿,本网站只负责对文章进行整理、排版、编辑,是出于传递更多信息之目的,并不意味着赞同其观点或证实其内容的真实性,如本站文章和转稿涉及版权等问题,请作者及时联系本站,我们会尽快处理。
标题:

74LS90引脚功能_真值表_示例电路图


网址: https://www.mrchip.cn/newsDetail/4186
文章标签: 计数器
0 购物车
0 消息